CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl bpsk

搜索资源列表

  1. BPSK

    4下载:
  2. 八相移键控调制的Verilog程序,给出了各个子模块的程序,实现了信号调制。-Eight-phase shift keying modulation of the Verilog program, each module is given the procedures, the signal modulation.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:40809
    • 提供者:徐向斌
  1. bpsk

    0下载:
  2. 基于bpsk的vhdl语言编程与性能仿真-Based on the vhdl language bpsk programming and performance simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:205435
    • 提供者:matt
  1. singnal

    0下载:
  2. VHDL实现通用通信信号源,包括sin,cos,方波,三角波,BPSK,GMSK,ASK,16QAM等信号的产生以及DDS,PLL的VHDL系统代码-VHDL implementation of universal communication sources, including sin, cos, square, triangle, BPSK, GMSK, ASK, 16QAM and other signal generation and DDS, PLL system, the VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1417
    • 提供者:张泽端
  1. ps110

    0下载:
  2. bpsk信号调制,用于产生一种雷达信号。-BPSK signal modulation, used to generate a radar signal.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-11
    • 文件大小:908
    • 提供者:wang
  1. 1B1

    0下载:
  2. 基于VHDL可编程BPSk调制教学~~~十分好用`~容易学会-VHDL-based programmable BPSk modulation of teaching is very good ~ ~ ~ `~ easy to learn to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:705711
    • 提供者:dengaoni
  1. BPSK_b

    1下载:
  2. System generator code for BPSK implementation. Pls enjoy it
  3. 所属分类:Goverment application

    • 发布日期:2017-04-04
    • 文件大小:9788
    • 提供者:phuc
  1. cpsk

    1下载:
  2. 用VHDL硬件语言对BPSK调制解调系统进行编写,仿真通过,源代码-VHDL hardware language using BPSK modulation and demodulation system, the preparation, simulation adopted, the source code
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-14
    • 文件大小:68644
    • 提供者:王岩嵩
  1. bpsk

    0下载:
  2. 基于FPGA的BPSK数字调制器的实现,对于学习通信专业的人应该有些帮助-FPGA-Based Digital Modulator BPSK, for people to learn communication professional should be some help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:432035
    • 提供者:李博
  1. bpsk_sin

    0下载:
  2. Program to Generate a BPSK signal in VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1741
    • 提供者:Avinash
  1. bpsk_fpga

    1下载:
  2. 在FPGA上实现BPSK信号的解调,全部用VHDL语言编写,非常实用。-Implemented on the FPGA BPSK signal demodulation, all with the VHDL language, very useful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:387964
    • 提供者:jiaojian
  1. ofdmbaseband

    0下载:
  2. the OFDM PHY is adaptive therefore it supports multiple schemes BPSK, QPSK, 16-QAM and 64-QAM for data carriers’ modulation. The constellation diagrams are gray mapped and shows the magnitudes I and Q (In-phase and Quadrature) components of e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1497282
    • 提供者:san
  1. bpsk_spread_spectrum_modulator_demodulator

    0下载:
  2. code for bpsk spread spectrum modulator used in cdma -code for bpsk spread spectrum modulator used in cdma ..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:7683
    • 提供者:ANIL
  1. com1402soft

    0下载:
  2. vhdl code of qam bpsk
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:99171
    • 提供者:ahmedfayez
  1. 04-mifokovac

    0下载:
  2. BPSK, QPSK MODULATOR SIMULATION MODEL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:326595
    • 提供者:nashwa
  1. BPSK

    0下载:
  2. 在quartus ii下完成的用VHDL语言编写的数字式调频BPSK的调制,其中DDS和成型滤波使用ip核完成-Accomplished in quartus ii the use of VHDL language digital FM BPSK modulation, which use the ip filter DDS and forming complete nuclear
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:326850
    • 提供者:
  1. bpsk-qpsk

    0下载:
  2. this is bpsk code in matlab
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:1101
    • 提供者:vishal
  1. 1

    0下载:
  2. 基于fpga的bpsk实现 module psk(clk,clr,fcw,angle,M,EN,psk_output) input[31:0]fcw //载波频率 input[9:0]angle //载波相位 input clk,clr input M,EN //M为 -vhdl bpsk fpga dpsk module psk(clk,clr,fcw,angle,M,EN,psk_output) input[31:0]fcw //载波频率 input[9:0]angle /
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:94527
    • 提供者:yanchao
  1. vhdl-codes

    0下载:
  2. vhdl code for sinusoidal chip to chip digital modulation - ask, bpsk, dpsk, qpsk etc
  3. 所属分类:Editor

    • 发布日期:2017-11-10
    • 文件大小:15360
    • 提供者:sai
  1. PSK-mod-demod-VHDL

    0下载:
  2. vhdl版本的bpsk调制和解调程序,超级实用-bpsk vhdl mod/demod
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:73659
    • 提供者:gone
  1. BPSK

    0下载:
  2. BPSK信号的载波调制,包含成型滤波器,上采用器以及载波生成器。(This file provides a transmitter based on BPSK signal, including shaping filter, upsampler and carrier generator.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-20
    • 文件大小:12921856
    • 提供者:wangke
« 12 »
搜珍网 www.dssz.com